Skip to content
2000
Volume 18, Issue 1
  • ISSN: 2666-2558
  • E-ISSN: 2666-2566

Abstract

Artificial intelligence (AI) related technologies are being employed more and more in a range of industries to increase automation and improve productivity. The increasing volumes of data and advancements in high-performance computing have led to a sharp increase in the application of these methods in recent years. AI technology has been widely applied in the field of hardware design, notably in the design of digital and analogue integrated circuits (ICs), to address challenges such as rising networked devices, aggressive time-to-market, and ever-increasing design complexity. However, very little attention has been paid to the issues and problems related to the design of integrated circuits. The authors of this article review the state-of-the-art in AI for circuit design and optimization. AI offers knowledge-based technologies that give challenges a foundation and structure. A technology known as AI makes it possible for machines to mimic human behavior. Data in all formats, including unstructured, semi-structured, and structured, can be processed by AI. It is crucial to incorporate all of the features and levels of the many CAD programmes into a single, cohesive environment for creation, as was mentioned in the section that came before this one. Consequently, the application of AI automation helps to enhance the effectiveness and efficiency of CAD's performance.

Loading

Article metrics loading...

/content/journals/rascs/10.2174/0126662558289880240418073738
2024-04-25
2025-01-14
Loading full text...

Full text loading...

References

  1. SarrafzadehM. WongC. An introduction to VLSI physical design.McGraw-Hill Higher Education199633410.1142/9789812386427_0001
    [Google Scholar]
  2. ChengM. XiaL. ZhuZ. CaiY. XieY. WangY. YangH. "Time: A training-in-memory architecture for memristor-based deep neural networks", In 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC)2017 18-22 June 2017, Austin, TX, USA, IEEE,20171610.1145/3061639.3062326
    [Google Scholar]
  3. MatsunawaT. GaoJ.R. YuB. PanD.Z. A new lithography hotspot detection framework based on adaboost classifier and simplified feature extraction.Design-Process-Technology Co-optimization for Manufacturability, SPIE Advanced Lithography, San Jose, California, United States2015942711
    [Google Scholar]
  4. RappM. AmrouchH. LinY. YuB. PanD.Z. WolfM. HenkelJ. MLCAD: A survey of research in machine learning for CAD.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.20214110121
    [Google Scholar]
  5. PeemenM. SetioA.A.A. MesmanB. CorporaalH. "Memory-centric accelerator design for convolutional neural networks", 2013 IEEE 31st International Conference on Computer Design (ICCD) 06- 09 October 2013 Asheville, NC, USA, IEEE,2013131910.1109/ICCD.2013.6657019
    [Google Scholar]
  6. FangY. LinH. SuiM. LiC. FangE.J. "Machine-learning-based dynamic IR drop prediction for ECO", 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) 05-08 November 2018,San Diego, CA, USA, IEEE,20181710.1145/3240765.3240823
    [Google Scholar]
  7. WangQ. LiP. KimY. A parallel digital VLSI architecture for integrated support vector machine training and classification. IEEE Transactions on Very Large Scale Integration (VLSI).Systems20152381471148410.1109/TVLSI.2014.2343231
    [Google Scholar]
  8. FagotC. GirardP. LandraultC. "On using machine learning for logic BIST", IEEE proceedings International Test Conference 1997 06 November 1997Washington, DC, USA, IEEE,199733834610.1109/TEST.1997.639635
    [Google Scholar]
  9. IwaiH. "Logic LSI technology roadmap for 22 nm and beyond", 2009 16th IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits 06-10 July 2009,Suzhou, China,200971010.1109/IPFA.2009.5232710
    [Google Scholar]
  10. ZjajoA. Stochastic process variation in deep-submicron CMOSCircuits and Algorithms,1st Springer Dordrecht,201648119210.1007/978‑94‑007‑7781‑1
    [Google Scholar]
  11. ShuklaS. GillS.S. KaurN. JatanaH.S. NehruV. Comparative simulation analysis of process parameter variations in 20 nm triangular FinFET.Active Passive Electron. Components201720171810.1155/2017/5947819
    [Google Scholar]
  12. AbbasZ. OlivieriM. Impact of technology scaling on leakage power in nano-scale bulk CMOS digital standard cells.Microelectronics201445217919510.1016/j.mejo.2013.10.013
    [Google Scholar]
  13. OlivieriM. MastrandreaA. Logic drivers: A propagation delay modeling paradigm for statistical simulation of standard cell designs. IEEE Transactions on Very Large Scale Integration (VLSI).Systems201322614291440
    [Google Scholar]
  14. BishopC.M. Pattern Recognition and Machine Learning (Information Science and Statistics).Berlin, HeidelbergSpringer-Verlag2007738
    [Google Scholar]
  15. CoxP. YangPing Mahant-ShettiS.S. ChatterjeeP. Statistical modeling for efficient parametric yield estimation of MOS VLSI circuits.IEEE Trans. Electron Dev.198532247147810.1109/T‑ED.1985.21965
    [Google Scholar]
  16. AlvarezA.R. AbdiB.L. YoungD.L. WeedH.D. TeplikJ. HeraldE.R. Application of statistical design and response surface methods to computer-aided VLSI device design.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.19887227228810.1109/43.3158
    [Google Scholar]
  17. YoungD.L. TeplikJ. WeedH.D. TrachtN.T. AlvarezA.R. Application of statistical design and response surface methods to computer-aided VLSI device design II. Desirability functions and Taguchi methods.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.199110110311510.1109/43.62796
    [Google Scholar]
  18. AbbasZ. OlivieriM. Optimal transistor sizing for maximum yield in variation‐aware standard cell design.Int. J. Circuit Theory Appl.20164471400142410.1002/cta.2167
    [Google Scholar]
  19. ChoiM. XuX. MorozV. Modeling performance and thermal induced reliability issues of a 3nm FinFET logic chip operation in a fan-out and a flip-chip packages2019 18th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm) 28-31 May 2019,Las Vegas, NV, USA, IEEE,2019107112
    [Google Scholar]
  20. PanS.J. YangQ. A survey on transfer learning.IEEE Trans. Knowl. Data Eng.201022101345135910.1109/TKDE.2009.191
    [Google Scholar]
  21. LiangH. FuW. YiF. "A survey of recent advances in transfer learning", 2019 IEEE 19th International Conference on Communication Technology (ICCT) 16-19 October 2019,Xi'an, China, IEEE,20191516152310.1109/ICCT46805.2019.8947072
    [Google Scholar]
  22. BrusamarelloL. WirthG.I. RousselP. MirandaM. Fast and accurate statistical characterization of standard cell libraries.Microelectron. Reliab.201151122341235010.1016/j.microrel.2011.05.016
    [Google Scholar]
  23. MirandaM. RousselP. BrusamarelloL. WirthG. "Statistical characterization of standard cells using design of experiments with response surface modeling", 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC) 05-09 June 2011,San Diego, CA, USA, IEEE,2011778210.1145/2024724.2024742
    [Google Scholar]
  24. MoshrefiA. AghababaH. ShoaeiO. Statistical estimation of delay in nano-scale CMOS circuits using Burr Distribution.Microelectronics201879303710.1016/j.mejo.2018.06.013
    [Google Scholar]
  25. ChengLerong GuptaP. HeLei Efficient additive statistical leakage estimation.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.200928111777178110.1109/TCAD.2009.2030433
    [Google Scholar]
  26. StockmanM. AwadM. KhannaR. LeC. DavidH. GorbatovE. HanebutteU. "A novel approach to memory power estimation using machine learning", 2010 International Conference on Energy Aware Computing 16-18 December 2010, Cairo, Egypt, IEEE,20101310.1109/ICEAC.2010.5702284
    [Google Scholar]
  27. HouL. ZhengL. WuW. "Neural network based vlsi power estimation", 2006 8th International Conference on Solid-State and Integrated Circuit Technology Proceedings 23-26 October 2006,Shanghai, China, IEEE,20061919192110.1109/ICSICT.2006.306506
    [Google Scholar]
  28. GargL. SahulaV. "Variability aware support vector machine based macromodels for statistical estimation of subthreshold leakage power", 2012 International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design (SMACD) 19-21 September 2012,Seville, Spain, IEEE,201225325610.1109/SMACD.2012.6339387
    [Google Scholar]
  29. JanakiramanV. BharadwajA. VisvanathanV. Voltage and temperature aware statistical leakage analysis framework using artificial neural networks.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.20102971056106910.1109/TCAD.2010.2049059
    [Google Scholar]
  30. NarendraS. DeV. BorkarS. AntoniadisD. ChandrakasanA. "Full-chip sub-threshold leakage power prediction model for sub-0.18/spl mu/m CMOS", Proceedings of the International Symposium on Low Power Electronics and Design 14 August 2002Monterey, CA, USA, IEEE,1923200210.1109/LPE.2002.146702
    [Google Scholar]
  31. RaoR.R. DevganA. BlaauwD. SylvesterD. Analytical yield prediction considering leakage/performance correlation.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.20062591685169510.1109/TCAD.2005.858351
    [Google Scholar]
  32. ChangH. SapatnekarS.S. "Full-chip analysis of leakage power under process variations, including spatial correlations", 42nd Design Automation Conference2005 13-17 June 2005, Anaheim, CA, USA, IEEE,523528200510.1145/1065579.1065716
    [Google Scholar]
  33. ChangH. SapatnekarS.S. Prediction of leakage power under process uncertainties.ACM Trans. Des. Autom. Electron. Syst.20071221210.1145/1230800.1230804
    [Google Scholar]
  34. KahngA.B. LuoM. NathS. Si for free: machine learning of interconnect coupling delay and transition effects2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP) 06 June 2015, San Francisco, CA, USA, IEEE20151810.1109/SLIP.2015.7171706
    [Google Scholar]
  35. GovindarajV. ArunadeviB. Machine learning based power estimation for CMOS VLSI circuits.Appl. Artif. Intell.202135131043105510.1080/08839514.2021.1966885
    [Google Scholar]
  36. MirandaM. ZuberP. Dobrovoln’yP. RousselP. “Variability aware modeling for yield enhancement of sram and logic”,2011 Design, Automation & Test in Europe 14-18 March 2011Grenoble, France, IEEE20111610.1109/DATE.2011.5763193
    [Google Scholar]
  37. RahimiA. BeniniL. GuptaR.K. "Hierarchically focused guardbanding: An adaptive approach to mitigate pvt variations and aging", 2013 Design Automation Test in Europe Conference Exhibition (DATE) 18-22 March 2013,Grenoble, France, IEEE,20131695170010.7873/DATE.2013.342
    [Google Scholar]
  38. JiaoX. RahimiA. NarayanaswamyB. FatemiH. GyvezJ.P. GuptaR.K. "Supervised learning based model for predicting variability-induced timing errors2015 IEEE 13th International New Circuits and Systems Conference (NEWCAS) 07-10 June 2015Grenoble, France, IEEE,20151410.1109/NEWCAS.2015.7182029
    [Google Scholar]
  39. ZhouJ. CuiG. HuS. ZhangZ. YangC. LiuZ. WangL. LiC. SunM. Graph neural networks: A review of methods and applications.AI Open20201578110.1016/j.aiopen.2021.01.001
    [Google Scholar]
  40. ZhangY. RenH. KhailanyB. "Grannite: Graph neural network inference for transferable power estimation", 2020 57th ACM/IEEE Design Automation Conference (DAC) 20-24 July 2020,2020San Francisco, CA, USA, IEEE,1610.1109/DAC18072.2020.9218643
    [Google Scholar]
  41. BoglioloA. BeniniL. De MicheliG. Regression-based RTL power modeling.ACM Trans. Des. Autom. Electron. Syst.20005333737210.1145/348019.348081
    [Google Scholar]
  42. ZhouY. RenH. ZhangY. KellerB. KhailanyB. ZhangZ. "Primal: Power inference using machine learning", 2019 56th ACM/IEEE Design Automation Conference (DAC) 02-06 June 2019,Las Vegas, NV, USA, IEEE,201916
    [Google Scholar]
  43. AhujaS. MathaikuttyD.A. SinghG. StetzerJ. ShuklaS.K. DingankarA. Power estimation methodology for a high-level synthesis framework2009 10th International Symposium on Quality Electronic Design 16-18 March 2009,San Jose, CA, USA, IEEE,200954154610.1109/ISQED.2009.4810352
    [Google Scholar]
  44. AndersonJ.H. NajmF.N. Power estimation techniques for FPGAs. IEEE Transactions on Very Large Scale Integration (VLSI).Systems2004121010151027
    [Google Scholar]
  45. SunwooD. WuG.Y. PatilN.A. ChiouD. "Presto: An FPGA accelerated power estimation methodology for complex systems", 2010 International Conference on Field Programmable Logic and Applications 31 August 2010 - 02 September 2010,Milan, Italy, IEEE,201031031710.1109/FPL.2010.69
    [Google Scholar]
  46. BanijamaliE. GhodsiA. PoupartP. "Generative mixture of networks", 2017 International Joint Conference on Neural Networks (IJCNN) 14-19 May 2017,Anchorage, AK, USA, IEEE,201710.1109/IJCNN.2017.7966329
    [Google Scholar]
  47. RezagholiradehM. HaidarM.A. "Reg-gan: Semi-supervised learning based on generative adversarial networks for regression", 2018 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) 15-20 April 2018, Calgary, AB, Canada, IEEE,20182806281010.1109/ICASSP.2018.8462534
    [Google Scholar]
  48. FangY-C. LinH-Y. SuM-Y. LiC-M. "Machine-learning-based dynamic IR drop prediction for eco", Proceedings of the International Conference on Computer-Aided Design 05-08 November 2018San Diego, CA, USA, IEEE17201810.1145/3240765.3240823
    [Google Scholar]
  49. XieZ. RenH. KhailanyB. ShengY. SantoshS. "Powernet: Transferable dynamic IR drop estimation via maximum convolutional neural network", 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC) 13-16 January 2020,Beijing, China, IEEE,2020131810.1109/ASP‑DAC47756.2020.9045574
    [Google Scholar]
  50. YamatoY. YonedaT. HatayamaK. InoueM. "A fast and accurate per-cell dynamic IR-drop estimation method for at-speed scan test pattern validation", 2012 IEEE International Test Conference 05-08 November 2012,Anaheim, CA, USA, IEEE,20121810.1109/TEST.2012.6401549
    [Google Scholar]
  51. SentovichE. SinghK. MoonC. SavojH. BraytonR. Sangiovanni-VincentelliA. "Sequential circuit design using synthesis and optimization", Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors, 1992 11-14 October 1992, Cambridge, MA, USA, IEEE,199232833310.1109/ICCD.1992.276282
    [Google Scholar]
  52. ShakyaB. HeT. SalmaniH. ForteD. BhuniaS. TehranipoorM. Benchmarking of hardware trojans and maliciously affected circuits.J. Hard. Syst. Sec.2017118510210.1007/s41635‑017‑0001‑6
    [Google Scholar]
  53. ChaudhuriS. MishraP. JhaN.K. "Accurate leakage estimation for FinFET standard cells using the response surface methodology", 2012 25th International Conference on VLSI Design 07-11 January 2012,Hyderabad, India, IEEE,201223824410.1109/VLSID.2012.77
    [Google Scholar]
  54. StillmakerA. BaasB. Scaling equations for the accurate prediction of CMOS device performance from 180 nm to 7 nm.Integration201758748110.1016/j.vlsi.2017.02.002
    [Google Scholar]
  55. AmuruD. ZahraA. AbbasZ. Statistical variation aware leakage and total power estimation of 16 nm VLSI digital circuits based on regression models.VLSI Design and Test.VDAT Communications in Computer and Information Science.SingaporeSpringer201956557810.1007/978‑981‑32‑9767‑8_47
    [Google Scholar]
  56. GourishettyS. MandadapuH. ZahraA. AbbasZ. A highly accurate machine learning approach to modelling pvt variation aware leakage power in finfet digital circuits2019 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS) 11-14 November 2019,Bangkok, Thailand, IEEE, 2019616410.1109/APCCAS47518.2019.8953073
    [Google Scholar]
  57. SuM-Y. LinW-C. KuoY-T. LiC-M. FangE.J-W. HsuehS.S-Y. Chip performance prediction using machine learning techniques2021 International Symposium on VLSI Design, Automation and Test (VLSI-DAT) 19-22 April 2021,Hsinchu, Taiwan, IEEE,20211410.1109/VLSI‑DAT52063.2021.9427338
    [Google Scholar]
  58. JokicP. AzarkhishE. CattenozR. T¨uretkenE. BeniniL. EmeryS. "A sub-mw dual-engine ml inference system-on-chip for complete end-to-end face-analysis at the edge", 2021 Symposium on VLSI Circuits 13-19 June 2021, Kyoto,Japan, IEEE,20211210.23919/VLSICircuits52068.2021.9492401
    [Google Scholar]
  59. LiakosK.G. GeorgakilasG.K. PlessasF.C. "Hardware trojan classification at gate-level netlists based on area and power machine learning analysis", 2021 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 07-09 July 2021,Tampa, FL, USA, IEEE,202141241710.1109/ISVLSI51109.2021.00081
    [Google Scholar]
  60. TurtletaubI. LiG. IbrahimM. FranzonP. "Application of quantum machine learning to VLSI placement", 2020 ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD) 16-20 November 2020,Reykjavik, Iceland, IEEE,20176166
    [Google Scholar]
  61. TabriziA.F. DaravN.K. RakaiL. KenningsA. BehjatL. "Detailed routing violation prediction during placement using machine learning", 2017 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), 2017 24-27 April 2017,Hsinchu, Taiwan, IEEE,20171410.1109/VLSI‑DAT.2017.7939657
    [Google Scholar]
  62. GoswamiP. BhatiaD. Congestion prediction in FPGA using regression based learning methods.Electronics20211016199510.3390/electronics10161995
    [Google Scholar]
  63. YangH. LinY. YuB. YoungE.F. "Lithography hotspot detection: From shallow to deep learning", 2017 30th IEEE International System-on-Chip Conference (SOCC) 05-08 September 2017,Munich, Germany, IEEE, 2017233238
    [Google Scholar]
  64. ZhangX. ShielyJ. YoungE.F. "Layout pattern generation and legalization with generative learning models", 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD) 02-05 November 2020,San Diego, CA, USA, IEEE,20201910.1145/3400302.3415607
    [Google Scholar]
  65. AlawiehM.B. YeW. PanD.Z. Re-examining VLSI manufacturing and yield through the lens of deep learning2020 IEEE/ACM International Conference on Computer Aided Design (ICCAD) 02-05 November 2020San Diego, CA, USA, IEEE20201810.1145/3400302.3415779
    [Google Scholar]
  66. MatsunawaT. YuB. PanD.Z. “Optical proximity correction with hierarchical bayes model”, J. Micro/Nanolitho. MEMS.MOEMS201515202100910.1117/1.JMM.15.2.021009
    [Google Scholar]
  67. ShimS. ShinY. “Etch proximity correction through machine learning driven Etch bias model”, Proc. SPIE 9782.Advanced Etch Technology for Nanopatterning201697829782010.1117/12.2219057
    [Google Scholar]
  68. KrishnamurthyP. ChowdhuryA.B. TanB. KhorramiF. KarriR. "Explaining and interpreting machine learning cad decisions: An IC testing case study", 2020 ACM/IEEE 2nd Workshop on Machine Learning for CAD (MLCAD) 16-20 November 2020,Reykjavik, Iceland, IEEE,202012913410.1145/3380446.3430643
    [Google Scholar]
  69. ChowdhuryA.B. TanB. GargS. KarriR. Robust deep learning for IC test problems.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.202241118319510.1109/TCAD.2021.3054808
    [Google Scholar]
  70. SinanogluO. BayraktarogluI. OrailogluA. "Dynamic test data transformations for average and peak power reductions", Proceedings The Seventh IEEE European Test Workshop 26-29 May 2002Corfu, Greece, IEEE113118200210.1109/ETW.2002.1029647
    [Google Scholar]
  71. ThakurG. SohalH. JainS. A novel parallel prefix adder for optimized Radix-2 FFT processor.Multidimens. Syst. Signal Process.20213231041106310.1007/s11045‑021‑00772‑1
    [Google Scholar]
  72. ThakurG. SohalH. JainS. A novel asic-based variable latency speculative parallel prefix adder for image processing application.Circuits Syst. Signal Process.202140115682570410.1007/s00034‑021‑01741‑6
    [Google Scholar]
  73. KirkR.S. "The impact of AI technology on VLSI design", Managing Requirements Knowledge, International Workshop on CHICAGOIEEE Computer Society1985112510.1109/AFIPS.1985.63
    [Google Scholar]
  74. RabbatG. VLSI and AI are getting closer.IEEE Circuits Devices198841151810.1109/101.926
    [Google Scholar]
  75. KhanM.Z.A. SaleemH. AfzalS. Application of VLSI In Artificial Intelligence.IOSR J. Comput. Eng.201262232510.9790/0661‑0622325
    [Google Scholar]
  76. BeerelP.A. PedramM. "Opportunities for machine learning in electronic design automation", 2018 IEEE International Symposium on Circuits and Systems (ISCAS) 27-30 May 2018Florence, Italy, IEEE20181510.1109/ISCAS.2018.8351731
    [Google Scholar]
  77. Delgado-FriasJ.G. MooreW. VLSI for Artificial Intelligence.IOSR J. Comput. Eng.201262232510.9790/0661‑0622325
    [Google Scholar]
  78. ElfadelI.M. BoningD.S. LiX. Machine Learning in VLSI Computer-Aided Design.TexasSpringer20191610.1007/978‑3‑030‑04666‑8
    [Google Scholar]
  79. KhailanyB. RenH. DaiS. GodilS. KellerB. KirbyR. KlinefelterA. VenkatesanR. ZhangY. CatanzaroB. DallyW.J. Accelerating chip design with machine learning.IEEE Micro2020406233210.1109/MM.2020.3026231
    [Google Scholar]
  80. CapodieciL. Data analytics and machine learning for design-process yield optimization in electronic design automation and IC semiconductor manufacturing2017 China Semiconductor Technology International Conference (CSTIC) 12-13 March 2017Shanghai, China, IEEE20171310.1109/CSTIC.2017.7919774
    [Google Scholar]
  81. KahngA.B. "Machine learning applications in physical design: Recent results and directions", The 2018 International Symposium on Physical Design2018687310.1145/3177540.3177554
    [Google Scholar]
  82. StratigopoulosH-G. "Machine learning applications in IC testing", 2018 IEEE 23rd European Test Symposium (ETS) 28 May 2018 - 01 June 2018Bremen, Germany, IEEE,201811010.1109/ETS.2018.8400701
    [Google Scholar]
  83. SchuermyerC. "Deploying new nodes faster with machine learning for IC design and manufacturing", 2019 International Symposium on VLSI Technology, Systems and Application (VLSI-TSA) 22-25 April 2019Hsinchu, Taiwan, IEEE20191310.1109/VLSI‑TSA.2019.8804650
    [Google Scholar]
  84. RappM. AmrouchH. LinY. YuB. PanD.Z. WolfM. HenkelJ. MLCAD: A survey of research in machine learning for CAD keynote paper.IEEE Trans. Comput. Aided Des. Integrated Circ. Syst.202241103162318110.1109/TCAD.2021.3124762
    [Google Scholar]
  85. HuangG. HuJ. HeY. LiuJ. MaM. ShenZ. WuJ. XuY. ZhangH. ZhongK. NingX. MaY. YangH. YuB. YangH. WangY. Machine learning for electronic design automation: A survey.ACM Trans. Des. Autom. Electron. Syst.202126514610.1145/3451179
    [Google Scholar]
  86. LoperaD.S. ServadeiL. KipritG.N. HazraS. WilleR. EckerW. "A survey of graph neural networks for electronic design automation", 2021 ACM/IEEE 3rd Workshop on Machine Learning for CAD (MLCAD) 30 August 2021 - 03 September 2021Raleigh, NC, USA, IEEE202116
    [Google Scholar]
  87. MaY. HeZ. LiW. ZhangL. YuB. "Understanding Graphs in EDA: From Shallow to Deep Learning", ISPD ’20: Proceedings of the 2020 International Symposium on Physical Design119126202010.1145/3372780.3378173
    [Google Scholar]
  88. AmuruD. VudumulaH.V. CherupallyP.K. GurramS.R. AhmadA. ZahraA. AbbasZ. AI/ML algorithm and applications in VLSI design and technology.Integration 20239310204810.1016/j.vlsi.2023.06.002
    [Google Scholar]
  89. GohY. JungD. HwangG. ChungJ.M. Consumer electronics product manufacturing time reduction and optimization using Ai-based PCB and VLSI circuit designing.IEEE Trans. Consum. Electron.202369324024910.1109/TCE.2023.3240249
    [Google Scholar]
  90. ChoupanzadehR. ZadehgolA. A deep neural network modeling methodology for efficient EMC assessment of shielding enclosures using MECA-generated RCS training data.IEEE Trans. Electromagn. Compat.20236561782179210.1109/TEMC.2023.3316916
    [Google Scholar]
  91. SeyediS. PourgheblehB. A new design for 4-bit RCA using quantum cellular automata technology.Opt. Quantum Electron.20235511110.1007/s11082‑022‑04214‑5
    [Google Scholar]
/content/journals/rascs/10.2174/0126662558289880240418073738
Loading
/content/journals/rascs/10.2174/0126662558289880240418073738
Loading

Data & Media loading...

This is a required field
Please enter a valid email address
Approval was a Success
Invalid data
An Error Occurred
Approval was partially successful, following selected items could not be processed due to error
Please enter a valid_number test